Digitális rendszerek II.

Slides:



Advertisements
Hasonló előadás
Átváltás decimális számrendszerből bináris számrendszerbe.
Advertisements

„Esélyteremtés és értékalakulás” Konferencia Megyeháza Kaposvár, 2009
Analóg-digitális átalakítás
LOGICA System.
Bevezetés Előadó: Tóth István számítástechnika tanár
Digitális technika II. Rész: Sorrendi hálózatok
Digitális rendszerek I. rész
Digitális elektronika
Digitális rendszerek II. rész
PIC mikrokontrollerek
A MÉRŐESZKÖZÖK CSOPORTOSÍTÁSA
Alapvető digitális logikai áramkörök
Sorrendi (szekvenciális)hálózatok tervezése
Az integrált áramkörök (IC-k) tervezése
Az előadásokon oldandók meg. (Szimulációs modell is tartozik hozzájuk)
PARTNEREK: Ez a dokumentum az Európai Unió pénzügyi támogatásával valósult meg. A dokumentum tartalmáért teljes mértékben Szegedi Tudományegyetem.
Zavarforrások, szűrők, földelési rendszerek kialakítása
RAM és ROM Mind RAM, mind ROM beépíthető ASIC vagy FPGA/EPLD tervbe Mind RAM, mind ROM beépíthető ASIC vagy FPGA/EPLD tervbe A következőkben a szükséges.
Szerkezeti leírás Összetevők és beültetésük Összetevők és beültetésük Általános kiosztás (generic map) Általános kiosztás (generic map) Generate parancs.
FelültöltésVHDL Felültöltés (Overloading) n Áttekintés n Példák.
11. előadás (2005. május 10.) A make segédprogram Alacsony szintű műveletek és bitmezők Fájl, katalógus rendszer hívások 1.
Elektronikai Áramkörök Tervezése és Megvalósítása
Elektronikai Áramkörök Tervezése és Megvalósítása
Mérés és adatgyűjtés Kincses Zoltán, Mingesz Róbert, Vadai Gergely 10. Óra MA-DAQ – Műszer vezérlése November 12., 15. v
Virtuális méréstechnika MA-DAQ műszer vezérlése 1 Mingesz Róbert V
DS1620 és FPGA segítségével
A verem működése fpga-n
Prototípus készítés Verilog nyelven VGA – PROM számláló Készítette: Fazekas Gergő,
Mesterséges neuronhálózatok
Szintézis Keresztes Péter, 2005 A GAJSKI-KUHN DIAGRAM Alapelv: Rendezzük a digitális- rendszerek leírásait célok és szintek szerint.
Porleválasztó rendszerek kialakítása és üzemeltetése
Hardver alapismeretek
A mikrovezérlők világa
MOS integrált áramkörök Mikroelektronika és Technológia BME Elektronikus Eszközök Tanszéke 1999 október.
VI.) Memóriák, memória szervezés
FPGA & Verilog ismertető
Ciklusok: 1. Számlálós ciklus
Klasszikus Programozás a FoxPro-ban
Atmega128 mikrokontroller programozása
Mikrokontroller (MCU, mikroC)
Szintaktikai, szemantikai szabályok
Tervezési példák és ötletek Összeadók Összeadók Vektor szorzás Vektor szorzás Erőforrás megosztás Erőforrás megosztás Összehasonlítók (comparators) Összehasonlítók.
Könyvtár, csomag és alprogramokVHDL Könyvtár, csomag és alprogram n Library és use n Package n Alprogramok –Procedure –Function –Resolution function Egy.
Típusváltás (Type Conversion) n Áttekintés n Példák.
Integrált áramkörök tesztelése (minőségellenőrzés)
MIKROELEKTRONIKA, VIEEA306
A PLC és használatának előnyei
GPGPU labor XII. Tomográfiás rekonstrukció. Kezdeti teendők Tantárgy honlapja, Monte Carlo szimuláció A labor kiindulási alapjának letöltése (lab12_base.zip),
A méréshatárok kiterjesztése Méréshatár váltás
INVHU002_Parameter_Table Január. Tartalomjegyzék  1. A funkcióblokk feladata  2. A felhasználás körülményei  3. A FB bemenetei/kimenetei változói.
1/22 MS-Hxx: A mérlegműszer Főbb jellemzők 20mm LCD kijelző Indikátor LED-sor Két teherfelvevő Digitális cellák Menürendszer Infra port az előlapon Tizes.
Multifunkciós és moduláris műszerek
Logikai műveletek és áramkörök
Nagy Szilvia 13. Konvolúciós kódolás
Feladatok. Óra A mikrokontrollerből egy órát kell kialakítani. Az óra rendelkezhet speciális funkciókkal: –Másodpercek kijelzése számokkal –Riasztás ledek.
Mintapélda Készítsünk programot, amely beolvas egy egész számot, és eldönti arról, hogy prímszám-e. Készítsünk programot, amely beolvas egy egész számot,
Beépített analóg I/O terület 4-3. Beépített analóg I/O terület Beépített analóg bemeneti bitek: CIO 200 … CIO 203 ( 4 szó ) Beépített analóg kimeneti.
1 Termikus-elektromos eszköz a nanoelektronikában Áttekintés VO 2 háttérismeretek Termikus-elektromos eszköz a nanoelektronikában elmélet gyakorlat neuron.
BS_2 mikroszámítógép Felépítése Egyszerű áramkör Villogó LED Közlekedési lámpa LED kigyújtása alacsony /magas szinttel For…NEXT Változók deklarálása DEBUG.
Page IC Sector – Building Technologies FSS / ExtinguishingVersion 2.1 XC 10 oltásvezérlő központ család XC10 – teljeskörű oltásvezérlés Tűz érzékeléstől.
PLC PROGRAMOZÁS Gyakorlat
Információtechnológia
Számítógépes Folyamatirányítás
Szekvenciális hálózatok
Golyóválogató berendezés
Programozható áramkörök
1. Írja fel bináris, hexadecimális és BCD alakban a decimális 111-et
Programozható áramkörök
Siel DCI/G4 48V-OS INVERTER BEMUTATÁSA
Optikai mérések műszeres analitikusok számára
Előadás másolata:

Digitális rendszerek II. Multiplexelés 2009.11.29.

Feladat Az FPGA panel 4 db 7 szegmenses kijelzőjén meg kell jeleníteni az egyenként 2 bites (0,1,2,3) számokat CLK50 P54 Programozó Kapcsoló LENT kell lennie! Tolókapcsolók RESET (P41)

RST digit(3:0) seg(6:0) kapcsolo(7:0)

Mi a multiplexer? Egy kombinációs áramkör, ahol van több sorszámozható bemenet és egy címbemenet. A címbemenet aktuális értékétől függ, hogy a kimeneten melyik bemeneti érték jelenik meg (olyan mint egy kapcsoló) címbemenet N0 N1 N2 N3 kimenet

Állapotgráf RST F0 F1 F2 F3 1 ms-ot vár 1 ms letelt 1 ms-ot vár

Kijelző multiplexelése aktív „0” jellel Bekapcsoljuk A0-t és kiírjuk a számot a kijelzőre, várunk 1 ms-ot Kikapcsoljuk A0-t és bekapcsoljuk A1-et, várunk 1 ms-ot Stb. Olyan gyorsan villog minden kijelző (4 ms-onként), hogy az emberi szem ezt folyamatos világításként érzékeli

clk50 rst kapcsolok(7:0) digit(3:0) seg(6:0)

Modulok kapcsolok(7:0) N0 clk50 Állapot cd(1:0) Hexa-> Számjegy kiválasztó Állapot generátor Hexa-> 7 seg kódoló clk50 cd(1:0) N1 curr(1:0) rst seg(6:0) N2 engedélyező N3 cim Digit kijelölő engedélyező digit(3:0)

Portok (be- és kimenetek) library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity modul is Port ( clk50 : in STD_LOGIC; rst : in STD_LOGIC; kapcsolok : in STD_LOGIC_VECTOR (7 downto 0); digit : out STD_LOGIC_VECTOR (3 downto 0); seg : out STD_LOGIC_VECTOR (6 downto 0)); end modul;

Signal (változók) architecture Behavioral of modul is constant teszt1ms: std_logic_vector(31 downto 0) := conv_std_logic_vector(50000,32); signal curr : std_logic_vector(3 downto 0); --akt. multiplexalt dekad signal frissit_szlo : std_logic_vector(31 downto 0); signal cd: std_logic_vector(1 downto 0); --dekadszamlalo signal bcdint : std_logic_vector(15 downto 0); Begin bcdint <= "00" & kapcsolok(7 downto 6) & "00" & kapcsolok(5 downto 4) & "00" & kapcsolok(3 downto 2) & "00" & kapcsolok(1 downto 0);

Process (minden órajelnél lefut) process (clk50, rst, cd) begin if rst='1' then cd <= (others => '0'); frissit_szlo <= (others => '0'); elsif clk50'event and clk50 = '1' then frissit_szlo <= frissit_szlo +1; if frissit_szlo = teszt1ms-1 then cd <= cd + 1; end if; end process; Érzékenységi lista

Feltételes értékadások curr <= bcdint(3 downto 0) when cd ="00" else bcdint(7 downto 4) when cd ="01" else bcdint(11 downto 8) when cd ="10" else bcdint(15 downto 12); digit <= "1111" when rst= '1' else "1110" when cd= "00" else "1101" when cd= "01" else "1011" when cd= "10" else "0111"; seg <= "1111111" when rst= '1' else "1000000" when curr= "0000" else "1111001" when curr= "0001" else "0100100" when curr= "0010" else "0110000"; end Behavioral;

ZH Konzultáció: 2009.12.2. (szerda) Jelentkezni az ajtóra kitett papíron lehet majd ZH: 2009.12.3. (csütörtök) Honlapot nézni hétvégén! http://nik.bmf.hu/vigh/

NIK Portál http://nikportal.cickany.hu/