LéptetésVHDL A léptetés műveletek (Shift Operators) n Áttekintés n Példák.

Slides:



Advertisements
Hasonló előadás
Algebrai struktúrák.
Advertisements

Egyszerű LL grammatika.  Definíciók  Példa. Ábrákkal  MASM program (szó felismerése LL(1) –ben )
Billentyűzet és egér.
Az integrált áramkörök (IC-k) tervezése
Függvények Egyenlőre csak valós-valós függvényekkel foglalkozunk.
Jt Java Feltételek, logikai kifejezések. jt 2 Logikai operátorok Logikai kifejezésekre alkalmazhatók a következő műveletek: 1. nem! 2. és&ill.&& 3. kizáró.
Előző órán megbeszéltük hogyan lehet a képet bináris jelekké alakítani
 Szöveg: Alapértelmezés szerint a táblázatkezelők a szöveges adatot balra zárják. Ha a cella tartalma nem fér ki, akkor a szomszédos cellában folytatódik.
2009 Bevezetés a programozásba Krankovits Melinda.
Állapotgépek (state machines)
RAM és ROM Mind RAM, mind ROM beépíthető ASIC vagy FPGA/EPLD tervbe Mind RAM, mind ROM beépíthető ASIC vagy FPGA/EPLD tervbe A következőkben a szükséges.
Szerkezeti leírás Összetevők és beültetésük Összetevők és beültetésük Általános kiosztás (generic map) Általános kiosztás (generic map) Generate parancs.
Algebrai struktúrák 1.
AVL-fa építése.
Csoport részcsoport invariáns faktorcsoport részcsoport
Hatásköri kétértelműségek Kvantifikáló kifejezések: Néhány lány =>  x(x lány  …) Minden fiú =>  x(x fiú  …) Két prímszám=>  x  y( x prímszám  y.
Visual Basic for Application (VBA)
A szürke vizszintes csikok nem egészen vizszintesek…
Mikrovezérlők alkalmazástechnikája laboratóriumi gyakorlat
UNIVERSITY OF SZEGED D epartment of Software Engineering UNIVERSITAS SCIENTIARUM SZEGEDIENSIS Programozás II. 7. Gyakorlat Operator overloading.
Az informatika logikai alapjai
Jt Java Kifejezések,precedencia. jt 2 Egy kifejezés operandusokból és operátorokból (műveletekből) áll. A kifejezésben szerepelhet egy vagy több operandus,
HTML parancsok használata
Ember László SyncToy a Microsoft PowerToy eszközökből (Freeware) Mint láttuk, telepítésének feltétele a.NET keretrendszer 2.0.
Testek - 3D ábrázolása síkban - 2D Horák György 2010.
Szintézis Keresztes Péter, 2005 A GAJSKI-KUHN DIAGRAM Alapelv: Rendezzük a digitális- rendszerek leírásait célok és szintek szerint.
Miskolci Egyetem Informatikai Intézet Általános Informatikai Tanszé k Pance Miklós Adatstruktúrák, algoritmusok előadásvázlat Miskolc, 2004 Technikai közreműködő:
Reprezentációs függvény. Adva egy adattípus absztrakt és konkrét specifikációja: d a = ( A, F, E a ); d c = ( C, G, E c ); A = {A 0,..., A n };C = {C 0,...,
Huffman Kódolás.
Alphabet is a type specification = sorts: alphabet oprs: a:  alphabet,...,z:  alphabet end alphabet; nat is a type specification = sorts:nat oprs:zerus:
MICROSOFT OFFICE EXCEL. Indítása  Start - Minden program – Microsoft Office – Microsoft Office Excel  Asztalról az ikonjára dupla kattintással.
dr Póder Margit f. docens Rendszer- és Szoftvertechnológia Tanszék
Miskolci Egyetem Informatikai Intézet Általános Informatikai Tanszé k Pance Miklós Adatstruktúrák, algoritmusok előadásvázlat Miskolc, 2004 Technikai közreműködő:
Programozás Operátorok C# -ban.
Előrendezéses edényrendezés – RADIX „vissza”
Holnap munka-, tűzvédelem számonkérés
Listák, Vermek és Várakozási Sorok. Vermek Def: Egy sajátos lista amelyben minden beszúrási illetve törlési művelet csak a lista egyik végén történik.
Listák, Vermek és Várakozási Sorok
ADATBÁZIS HASZNÁLAT I. 3. gyakorlat. Figyelem!!! A diasor ismerete nem helyettesíti a tankönyvet, és a példatárat. A diasor ismerete szükséges, de nem.
Operátorok Értékadások
Billentyűzet (keyboard) az alapértelmezett beviteli eszköz
1.Labor : Modellezés. Blender D:\GameDev\Blender3D\blender.exe D:\GameDev\Blender3D\blender.exe Ismerkedjünk az interface-el!!!
Kötvényárazási hibák intelligens javítóalgoritmusának tervezése és fejlesztése GELLÉN ÁGNES IUFQ58.
Hyper Text Markup Language
Fák.
SZÖVEGSZERKESZTÉSI ALAPISMERETEK Formázások összefoglalás
Fordítás természetes nyelvről FOL-ra Kvantifikáló kifejezések: Néhány/Egy F   x( F(x)  …) Minden G   x( G(x)  …) Két H   x  y( H(x)  H(y)  …)
Az egész számok szorzása
Párizs június 20 - Berlin június 28 Egy kivételes alkalom, amikor összekötheted a szórakozást és az üzleted. Találkozz a LEO vezetőkkel a világ minden.
A folytonosság Digitális tananyag.
Alapműveletek (Természetes számok, Egész számok)
Haladó C++ Programozás SzJ/IV
UNIVERSITY OF SZEGED D epartment of Software Engineering UNIVERSITAS SCIENTIARUM SZEGEDIENSIS Programozás I. 3. gyakorlat.
Quick-Search algoritmus. Bevezet ő Az eljárás működése során két esetet különböztetünk meg: A szöveg minta utáni első karaktere nem fordul elő a mintában.
ATM VONATKOZÁSÚ ESEMÉNYEK KBSZ SZAKMAI NAPOK- REPÜLÉS Siófok, április 8. Pál László balesetvizsgáló.
SQL aggregálás, csoportosítás és összekapcsolás Adatbázisok 1.
Általános  Shift  Alt Gr 2 ” ˇ Általános Ctrl+c=Másolás Ctrl+x=kivágás Ctrl+v = beillesztés Ctrl+z = visszavonás.
A Catalan-összefüggésről
Gépészeti informatika (BMEGEMIBXGI)
Logikai programozás 4..
ADSZORPCIÓS MŰVELETEK
Egyenletek.
Piros-fekete fák Beszúrás, ill. törléskor a fa elveszítheti az egyensúlyát. A piros-fekete fák: az egyensúly megtartását biztosítják. +1 bit információ.
Mesterséges intelligencia
Hasznos billentyű kombinációk
Táblázatok A táblázat megadása a tag használatával lehetséges. A és tageken belül: a és tagek között adhatjuk meg a.
Műveletek mappákkal és a fájltípusok
Kód tördelése és a megjelenés
Fékhatásvizsgálat kiértékelése
Pipeline példák (IMSC, 2019).
Előadás másolata:

LéptetésVHDL A léptetés műveletek (Shift Operators) n Áttekintés n Példák

LéptetésVHDL Áttekintés n 6 különböző léptetési művelet van a VHDL-93 szabványban: –sllLéptetés balra (shift left) (jobb oldali utolsó bit a ‘0’-t veszi fel) –srlLéptetés jobbra (shift right) (bal oldali első bit a ‘0’-t veszi fel) –rolÁtforgatás balra (roll over left) –rorÁtforgatás jobbra (roll over right) –slaLéptetés balra, megtartva a jobboldali végértéket (shift left, and keep value ‘right) –sraLéptetés jobbra, megtartva a baloldali végértéket (shift right, and keep value ‘left)

LéptetésVHDL Példa Architecture behv of ex is begin a <= “01101”; a <= “01101”; q1 <= a sll 1;-- q1 = “11010” q1 <= a sll 1;-- q1 = “11010” q2 <= a srl 3;-- q2 = “00001” q2 <= a srl 3;-- q2 = “00001” q3 <= a rol 2;-- q3 = “10101” q3 <= a rol 2;-- q3 = “10101” q4 <= a ror 1;-- q4 = “10110” q4 <= a ror 1;-- q4 = “10110” q5 <= a sla 2;-- q5 = “10111” q5 <= a sla 2;-- q5 = “10111” q6 <= a sra 1;-- q6 = “00110” q6 <= a sra 1;-- q6 = “00110” end;

LéptetésVHDL Léptetés művelet csomagbeli függvényekkel n Ha a szintézis eszköz nem támogatja a szabványbeli léptetés műveletet, akkor csomagban meghatározott függvénnyel lehet megvalósítani n Pl. az ieee.std_logic_unsigned csomagban a következő függvények találhatók: function shl (arg: std_logic_vector; count: std_logic_vector) return std_logic_vector; function shr (arg: std_logic_vector; count: std_logic_vector) return std_logic_vector; n Alkalmazási példa: q1 <= shl(data,”1”);-- Egyet léptet balra q2 <= shr(data,”101”);-- Ötöt léptet jobbra q3 <= shr(data, count);-- Count számút léptet jobbra

LéptetésVHDL Léptetés művelet elkerülése n Készíthető VHDL kód léptetési művelet alkalmazása nélkül is, pl.: Architecture rtl of ex is; signal data: std_logic_vector(7 downto 0); begin process(clk, resetn) process(clk, resetn) begin begin if resetn=‘0’ then q1 ‘1’); q2 ‘1’); if resetn=‘0’ then q1 ‘1’); q2 ‘1’); elsif clk’event and clk=‘1’ then elsif clk’event and clk=‘1’ then q1(6 downto 0)<=q1(7 downto 1);-- Egyet léptet jobbra q1(6 downto 0)<=q1(7 downto 1);-- Egyet léptet jobbra q1(7)<=d_in; q1(7)<=d_in; q2(7 downto 1)<=q2(6 downto 0);-- Egyet léptet balra q2(7 downto 1)<=q2(6 downto 0);-- Egyet léptet balra q2(0)<=d_in; q2(0)<=d_in; end if; end if; end process; end process;end;