Az előadás letöltése folymat van. Kérjük, várjon

Az előadás letöltése folymat van. Kérjük, várjon

ASIC verifikáció I. 2011.11.28.

Hasonló előadás


Az előadások a következő témára: "ASIC verifikáció I. 2011.11.28."— Előadás másolata:

1 ASIC verifikáció I.

2

3 Bemutatkozás

4 Alapfogalmak (ismétlés)
Modul szintű, constrained random, e-verifikáció A verifikációs szintek kiválasztása A továbbiakban a modul szintű verifikációt tárgyaljuk Comprehensive functional verification the complete industry cycle - szerző: Bruce Wile,John C. Goss,Wolfgang Roesner

5 Bevezetés a funkcionális verifikációba
Tartalom Verifikációs alapfogalmak A verifikáció fogalma A tesztelés és a verifikáció közti különbség Miért van szükség a verifikációra? A verifikáció szerepe az ASIC fejlesztés folyamatában Mibe kerül egy bug? A verifikáció helye az ASIC fejlesztés folyamatában Az ASIC tervezés lépései időrendben A verifikáció fajtái HDL testbench alapú verifikáció Bug-ok felfedése irányított teszttel Bug-ok felfedése randum stimulussal Constrained random szimuláció Tipikus megközelítés A verifikációs környezet felépítése constrained random stimulus esetén Pszeud-random generálás - seed

6 Bevezetés a funkcionális verifikációba
Tartalom A verifikáció teljességének mérése A verifikációs terv (vPan) Coverage gyűjtés Automatizált check-ek Az automatizált check-ek csoportosítása A lefedettség növelése A verifikációs projekt életciklusa A verifikációs koncepciók ASIC-ek verifikációs szintjei Black box verifikáció White box verifikáció Gray box verifikáció Melyiket válasszuk? BB,WB, GB? A verifikációs környezet Modul vs. rendszer szintű verifikáció Példák…

7 Bevezetés a funkcionális verifikációba
Tartalom Verifikációs és szimulációs tool-ok Delta cycle Delta cycle - példa Verifikációs tool és szimulátor Kiegészítő módszerek Code coverage (szerepe, fajtái) Összeköttetések vizsgálata (formális verifikáció)

8 Bevezető Mottó Brian W. Kernighan, 1974
A hibakeresés kétszer olyan nehéz feladat, mint maga a kód megírása. Így, ha a lehető legjobb tudásod szerint írtad meg a kódot, az még nem feltétlenül jelenti azt, hogy képes vagy felfedni a hibáit. Debugging is twice as hard as writing the code in the first place. Therefore, if you write the code as cleverly as possible, you are, by definition, not smart enough to debug it. Brian W. Kernighan, 1974

9 Verifikációs alapfogalmak
A verifikáció fogalma Mi a “verifikáció” jelentése? Szótári jelentése: igazolás Gyakorlati jelentése: Az a folyamat, melynek során a mérnökök megbizonyosodnak arról, hogy a modul képes ellátni specifikált funkcióit. Mit verifikálunk? Mi az RTL modell (pre-silicon) funkcionális viselkedését verifikáljuk. Ez a folyamat nem tesztelés (ami prototípus IC,-n FPGA-n történik – post-silicon) Mihez viszonyítva verifikálunk? A “golden reference”-hez képest: ez a specifikácó (szöveges dokumentum) * systemC Mi biztosítja, hogy a specifikáció hibátlan? A specifikáció nem hibátlan Több szem többet lát: architect != designer != verifikációs mérnök

10 Verifikációs alapfogalmak
A tesztelés és a verifikáció közi különbség Verifikáció Gyártás előtt, nem darabonként RTL leíráson Gate level netlistán Tervezési hibák felfedezése Teszt Gyártás után, minden darabon Elkészült ASIC-en Gyártási hibák kiszűrése

11 Verifikációs alapfogalmak
Miért van szükség a verifikációra? Milyen típusú hibákat kell(ene) a verifikációnak felfedeznie? Ha a telefonom ASIC lenne… I. Példa: Rádió/MP3 hallgatás közben a fülhallgató kihúzása leállítja a lejátszást 1) MP3 hallgatás 2) Kihúz, leáll a lejátszás 3) Rádió hallgatás 4) Kihúz, leáll az MP3, indul a rádió (kihangosítón) II. Példa: Ha Rádió/MP3 hallgatás közben csörög a telefon, a fülhallgató ugyan elnémul, de az éppen játszott médiát rákeveri a csengőhangra (kihangosítón)

12 Verifikációs alapfogalmak
Miért van szükség a verifikációra? Miért maradhattak ilyen hibák a termékben? A tesztelő / verifikációs mérnökök valószínűleg nem gondoltak a különféle állapotok pont ilyen együttállására, nem volt rá teszt Hogy lehet olyan eseményeket letesztelni, amik létezését nem is sejtjük? Ne a mérnöknek kelljen kitalálnia az összes verifikálandó esetet Szükség van egy bizonyos fokú automatizációra A megoldás a random verifikáció

13 HDL implementation & Verification
Verifikációs alapfogalmak A verifikáció szerepe az ASIC fejlesztés folyamatában A verifikáció az ASIC tervezés 70%-át teszi ki Időben Költségben Specification HDL implementation & Verification „Olcsó” Synthesis Layout „Drága” Production Testing & Validation Nagyon fontos, hiszen a gyártás drága, és a kész chip-ben talált hiba respin-nel jár.

14 Funkcionális verifikáció
Verifikációs alapfogalmak Mibe kerül egy bug? A bug-os chip költségei A tervezési fázis elején olcsó a javítás (n x mérnök óra ára) Később, rendszer szintű tesztelésnél sok idő Prototípus IC-ben: respin (a maszkok ismételt legyártása) Piacra dobás után: milliók ($) (presztizs veszteség) VHDL-modul chip rendszer megrendelő idő alacsony magas Egy bug javításának költsége Talált bug-ok száma Funkcionális verifikáció Tesztelés szintjei (később)

15 FUNKCIONÁLIS VERIFIKÁCIÓ
Verifikációs alapfogalmak A verifikáció helye az ASIC fejlesztés folyamatában Hogyan bizonyosodunk meg a működés helyességéről? Ellenőrzés (verifikáció) több ponton Koncepció ellenőrzés Azt írtad le amit kigondolta(to)k? Specifikáció FUNKCIONÁLIS VERIFIKÁCIÓ Azt kódoltad le (HDL), amit leírtak? HDL (RTL) leírás ellenőrzés A tape-out úgy működik ahogy kell (HDL)? Tape out ellenőrzés A chip működése egyezik a tape-out-tal? Szilicium

16 Verifikációs alapfogalmak
Az ASIC tervezés lépései időrendben Time to market – A fejlesztési időt redukálni kell A párhuzamosan végezhető folyamatokat időben el kell kezdeni A HDL implementáció és a funkcionális verifikáció sem egymást követő folyamatok A SystemC modellek bevezetésével a funkcionális verifikáció előbb elkezdődhet, mint a HDL implementáció A rendszer működésének koncepcióját lehet így vizsgálni Specifikáció SystemC modell HDL (RTL) implementáció Funkcionális verifikáció Modul Chip (top level) Gate level System level Modell HDL (RTL) implementáció Funkcionális verifikáció Modul Chip (top level) Gate level System level idő

17 A Verifikáció fajtái Verifikációs alapfogalmak
Összefoglalva néhány szóban Verifikációs alapfogalmak A verifikáció és a tesztelés közti különbség fontossága A verifikáció része a flow-ban 70% A bug-ok javítási költsége nő az idő előrehaladtával Több helyen kell ellenőrízni, ezek közül csak egy a funkcionális verifikáció A következő rész témája A Verifikáció fajtái Felosztás a szimulációban alkalmazott gerjeszések (stimulusok) fajtái alapján

18 A verifikáció fajtái HDL testbench alapú verifikáció
DUV és a verifikációs környezet is HDL Teljesen zárt környezet (a testbench modulnak nincsenek portjai) DUV TB Stimulus Testbench Monitor Write (0xCAFE, 0x0101) Read(0x2011) Ez a megközelítés bonyolult ASIC-ek esetén már nem használható hatékonyan, mert a tesztek nehezen olvashatóak megírásuk fárasztó és időigényes túl sok corner case-t kell lefedni a HDL nyelv nem magas szintű tesztelésre való stb...

19 A verifikáció fajtái Bug-ok felfedése írányított teszttel
mindig egy utat jár be csak olyan hibát tud felfedni, amire a mérnök „gondolt” bonyolultabb RTL -> több teszt HDL egy állapota Tesztelni kívánt állapot BUG-os állapot Nem “üzemi” állapot A teszt által bejárt állapot

20 A verifikáció fajtái Bug-ok felfedése random stimulussal Random teszt
rejtett hibákat könyebben, nagyobb valószínűséggel derít fel jobban képes lefedni az előre meghatározott verifikációs teret egy teszt több futás alatt más utakat járhat be “eldugott” állapotok felfedése időigényes HDL egy állapota BUG-os állapot Nem “üzemi” állapot A teszt által bejárt állapot futás1 futás2

21 A verifikáció fajtái Constrained random szimuláció
A verifikációs teret felosztjuk kisebb egységekre A szűkített tartományon belül egy teszt hatékonyabban működik egy teszt több futás alatt más utakat járhat be, de a lehetőségek száma csökkent a verifikációs tér szűkítésével Ki lehet zárni a nem üzemi állapotokat (use case) futás2 futás1 HDL egy állapota BUG-os állapot Nem “üzemi” állapot A teszt által bejárt állapot Állapotok egy tartománya egy tesztre

22 A verifikáció fajtái Tipikus megközelítés
Több tartományt definiálunk, melyekre külön teszteket írunk Vannak állapotok, amelyeknek az elérése random stimulussal, nehézkes, sok időt vesz igénybe. Az ilyen eseteket (corner case) irányított tesztekkel szokás verifikálni. C HDL egy állapota BUG-os állapot Nem “üzemi” állapot A teszt által bejárt állapot tesztA futás1 tesztA futás2 Állapotok egy tartománya egy tesztre Corner case tesztB futás2 tesztB futás1 tesztC futás2 tesztC futás1 direkt teszt C Kulcs állapotok

23 verifikációs környezet
A verifikáció fajtái A verifikációs környezet felépítése constrained random stimulus esetén Egyes szélsőséges esetek túl ritkán fordulnának elő A valószínűségek súlyozásával a tesztek viselkedése behatárolható Például a legrövidebb és a leghosszabb ethernet csomag tesztelése Feltételesen random stimulus használatával a nem használt (nem use case) állapotok kihagyása az értékeket tovább lehet szűkíteni egy kívánt tartományra (TC-kben) A DUV funkcióinak tesztelése felosztható az egyes TC-k között1 verifikációs tool testcase (TC) verifikációs környezet szabályok a < 64 b > 128 constrained solver DUV szabályok a > 5 b < 10 stimulus generálás

24 A verifikáció fajtái Pszeudó-random generálás: seed
Hogy tudunk megbizonyosodni hogy a felfedezett BUG-ot sikeresen javították? Újrafuttatjuk a tesztet A véletlen teszt hogyan tudja befutni ugyanazt az utat? Megoldás: kiindulópont a véletlenszám generátornak: seed Minden futtatott teszthez egy seed Ha a környezet nem változik, a seed ugyanazt az utat eredményezni futás seed futás seed seed

25 A Verifikáció teljességének mérése
Összefoglalva néhány szóban A Verifikáció fajtái Testbench alapú szimuláció Irányíott teszt (a testbech alapú szimuláció stimulusa) Verifikáció random stimulussal Feltételes (constrained) random stimulus A következő rész témája A Verifikáció teljességének mérése vPlan Coverage Check-ek

26 A verifikáció teljességének mérése
A verifikációs terv (vPlan) A vPlan a verifikációs folyamat talán legfontosabb dokumentuma. Útmutatást nyújt A verifikációs környezet építéséhez Milyen forgatókönyvek mentén kell tesztelni (test scenario) A lefedettség méréséhez szükséges kulcs állapotok (coverage) megállapítása Milyen funkciókat kell feltétlenül ellenőrizni (check) A verifikációs terv nem állandó – folyamatosan változó dokumentum

27 A verifikáció teljességének mérése
Coverage gyűjtés Hogyan kaphatunk visszajelzést a verifikáció teljességéről? A kulcs állapotokra coverage-t gyűjtünk Megnézzük, hogy elértük-e az adott állapotot Mik lehetnek ezek az “állapotok”? Egy jel változása Feldolgozni kívánt adat hossza Cím tartomány És még sok minden más… A coverage étékének a verifikáció végén 100%-osnak kell lennie Ezt nem egy teszt futtatásával kell elérni, hanem regresszióval A regresszió több teszt (tesztenként többszöri) futtatását jelenti A verifikációs tool az egész regresszió alatt gyűjti a coverage-t

28 A verifikáció teljességének mérése
Coverage gyűjtés Coverage gyűjtés… nélkül több 100 (1000) feltétel mellett kellene teszteket futtatni, hogy megfelelőnek érezzük a verifikáció teljességét nélkül soha nem lehetünk arról meggyőződve, hogy mennyire sikerült elérni a verifikációs céljainkat, mivel nincs semmilyen visszajelzés esetén biztosak lehetünk abban, hogy elértük a célunkat HDL egy állapota BUG-os állapot Nem “üzemi” állapot A teszt által bejárt állapot futás1 futás2 “Kulcs” állapotok

29 A verifikáció teljességének mérése
Automatizált check-ek A check-ek ellenőrzik a DUV működését Míg a testbench alapú verifikációnál a mérnök értelmezte a DUV gerjesztésre adott válaszát, addig itt… A kiértékelés automatikusan történik Az ellenőrizni kívánt funkciók a vPlan-ben vannak definiálva Nem minden egyes check külön-külön, hanem A verifikálni kívánt funkciók Az implementálás módját, azellenőrízni kívánt funkciók check-ekre való felbontás a verifikációs mérnök dönti el Statikus és dinamikus check-ek Statikus, amikor a check-ek folyamatosan aktívak A dinamikus check-ek tesztenként külön kapcsolhatóak

30 A verifikáció teljességének mérése
Az automatizált check-ek csoportosítása Négy fő funkcionális szempont szerint lehet a check-eket csoportosítani Kimenetek/bemenetek Az adott bemeneti gerjesztésre a megfelelő kiemeneti válasz érkezik (scoreboard) Rendszerszemlélet Milyen érvényes ill. értelmes gerjsztések érkezhetnek a modult magában foglaló rendszertől Belső működés Néhány kritikus belső állapot ill. logika ellenőrzése Protokol Protokol teljesítése (timing checks)

31 A verifikáció teljességének mérése
A lefedettség növelése CDV (coverage driven verification) folyamata Tervezési fázis Eredmény értelmezése Verifikációs környezet Verifikációs terv (vplan) Eredmények (coverage jelentés) Tesztek, Stimulusok Specifikáció olvasás Coverage, check tervezése vplan frissítése Környezet javítása Constraint-ek újradefiniálása

32 A verifikáció teljességének mérése
A verifikációs project életciklusa idő magas alacsony Néhány variáció kipróbálása Tesztek írása, automatizált random tesztek, coverage gyűjtés A nehezen elérhető állapotok tesztelése Kezdő fázis Random tesztek futtatása Maradék corner-case-ek lefedése Random tesztek debuggolására fordított energia Lefedettség, a verifikáció során talált bug-ok száma

33 Verifikációs koncepciók
Összefoglalva néhány szóban A Verifikáció teljességének mérése A verifikációs terv (vPlan) fontossága A coverage szerepe a verifikáció sikerében Automatizált check-ek A következő rész témája Verifikációs koncepciók Felosztás a design hierachia alapján Felosztás a verifikációs környezet felépítése alapján Referencia modell Egyéb megfontolások, gyakorlai példák

34 Verifikációs koncepciók
ASIC-ek verifikációs szintjei A verifikációs szintek kiválasztása Nem kell minden szinten Komplex rendszerek esetében két szinten szokás verifikálni Comprehensive functional verification the complete industry cycle - szerző: Bruce Wile,John C. Goss,Wolfgang Roesner

35 Verifikációs koncepciók
ASIC-ek verifikációs szintjei Designer szint (macro) Általában az RTL designer végzi Egyszerű, a design-kód megfelel-e az alapvető követelményeknek (lefordul-e, stb…) Formális verifikáció HDL testbench alapú egyszerű verifikáció Modul szint Komplex rendszereknél szükséges Egy logikai egység teljes funkcionális vizsgálata Megléte előfeltétele egy magasabb szintű verifikációnak (pl.: chip, system) Comprehensive functional verification the complete industry cycle - szerző: Bruce Wile,John C. Goss,Wolfgang Roesner

36 Verifikációs koncepciók
ASIC-ek verifikációs szintjei Az, hogy melyik szinteteket kell választani függ… A modul, ill. a rendszer bonyolultságától A modul fontosságától, a rendszerben betöltött szerepétől A specifikácitól, hogy tartalmaz-e külön a modulra leírást, vagy a modul funkióit csak rendszer szinten írja le Comprehensive functional verification the complete industry cycle - szerző: Bruce Wile,John C. Goss,Wolfgang Roesner

37 DUV Device Under Verification
Verifikációs koncepciók Black box verifikáció A koncepció jellemzői Referencia modell specifikáció alapján történő implementálása A funkciók HDL megvalósítása nem ismert Működés megfelelőségének vizsgálata a be/kimeneti jelek alapján Gate-level verifikációnál csak ez használható Problémák Hibás megvalósítás mellett lehet “működőképes” Pl.: belső FIFO mélysége Nehéz megtalálni a pontos hibát, csak a hatásait érzékeljük DUV Device Under Verification Reference Model ? = stimulus

38 DUV Device Under Verification
Verifikációs koncepciók White box verifikáció Tulajdonságok A HDL implementáció ismert (átlátszó struktúra) Előnyök: A működés vizsgálata a HDL belső jelei alapján Könnyű a kívánt feltételeket megteremteni (pl.: számláló értéke) Hártányok Implementáció függő – RTL update  testbench változtatása Nincs aktív referencia (csak az írásos specifikáció) Csak azok a funkciók vannak tesztelve, amire a mérnök gondolt DUV Device Under Verification stimulus

39 DUV Device Under Verification
Verifikációs koncepciók Gray box verifikáció Tulajdonságok Referencia modell a specifikáció alapján A funkciók HDL megvalósítása részben ismert Kívánt feltételek létrehozása (pl.: számláló értéke) A funkcinális működés vizsgálata Kimeneti- és speciális esetben a belső jelek alapján is Gate level verifikáció esetén a belső jeleket nem használjuk (black box lesz) DUV Device Under Verification Reference Model ? = stimulus

40 Verifikációs koncepciók
Melyiket válasszuk? BB, WB, GB? Ideális verifikáció Black Box megközelítés Teljes verifikáció A logika megfelelően működik a bemenetek összes kombinációjára A kimeneti jelek ellenőrzése az összes esetben A teljes verifikáció alkalmazása nem praktikus egy komplex rendszer funkcionális verifikációjának minden lépésében Az elvek azonben mindig irányadóak! Akkor melyik megközelítést kell választani? Azt, amelyik az adott feladatra a leginkább megfelelő  A legtöbb környezet tipikusan GB

41 Verifikációs koncepciók
Referencia modell és check-ek Alapvető megfontolások A felhasznált belső jelek helyes értékét, és az azt előállító logikát mindenképpen tesztelni kell Reference Model stimulus ? =

42 Verifikációs környezet
Verifikációs koncepciók A verifikációs környezet A verifikációs környezet… Felépítse szigorú módszertant követ (eRM, OVM, UVM) Az újra felhasználhatóság, újrahasznosítás elvén kell, hogy működjön Verifikációs komponenseket (verification component - uVC) tartalmaz (modul, interfész) DUV Verifikációs környezet Interfész komponens Referencia Modell ?=  checker coverage

43 Verifikációs környezet
Verifikációs koncepciók Modul vs. rendszer szintű verifikáció Top-level (chip) szintű verifikáció esetén A DUV-ot nem a környezet hajtja meg, hanem a valós HDL A DUV-ot egy kívánt állapotba csak indirekt módon (a meghajtó HDL modulon keresztül) lehet eljuttatni Bonyolultabb tesztekre és, nagyobb rendszerismeretre van szükség A DUV esetleg már modul szinten verifikálva volt DUV Referencia modell Verifikációs környezet Interfész komponens ?=  checker coverage HDL modul (aktív) HDL modul

44 Verifikációs koncepciók
Modul vs. rendszer szintű verifikáció Top-level (chip) szintű verifikáció esetén A szubmodulok viselkedését kevésbé kimerítően vizsgáljuk A lényeg a teljes chip működésének vizsgálata (pin-ek meghajtása) toplevel DUV ?= HDL 

45 Verifikációs koncepciók
Modul vs. rendszer szintű verifikáció Rendszer (system level) szintű verifikáció esetén Kizárólag a rendszer szintű működés vizsgálatára öszpontosítunk A lényeg, hogy hogyan működik két (vagy több) chip együtt toplevel1 DUV ?= HDL   toplevel2

46 A helyes megközelítés kiválasztása
Példák a különböző koncepciókra Module: Incoming Data Processor (IDP) Tartalmaz két szubmodult Job Analyzer (JA) A busz-ról érkezett csomagot dolgozza fel Kinyeri az adatod, címet (stb…) Job Controller (JC) Levezényli a memória írást ill. olvasást A kettő közötti kapcsolatot vezérlő jelek teremtik meg read jel logikai 1értéke: olvasás indítása write jel logikai 1 értéke: írás indítása Ez egy példa, be szeretném mutatni rajta, hogy hogy néz ki a verifikációs környezet alapvető struktúrája module-, ill. Top levelen. Hozok pédát hibás koncepcióra, ami lényegébe az SEADAT-KonsCtrl-on talált egyik BUG-on lesz. IDP JA JC BUS Memória read write data

47 A helyes megközelítés kiválasztása
Példa 1 Megközelítés Random verifikáció Modul szint Black box IDP ?= Ref.m Koncepció értékelése A verifikáció sikeressége függ attól, hogy A megfelelő kulcsállapotokat sikerült-e definiálni Sikeresen tudtuk-e a check-eket implementálni A tesztek során mennyire sikerült megvalósítani a teszt forgatókönyveket (TC-k) Hibalehetőségek Valamilyen funkciót kihagytunk a tesztből (előző pontok nem teljesültek) A modul minden funkcióját teszteltük, de a belső jelek bizonyos kombinácija a tesztek alatt nem ált elő (irányított teszt kellhet)

48 A helyes megközelítés kiválasztása
Példa 2 Random verifikáció Modul szint Gray box Tegyük fel, hogy a read és a write jelek előállítása a referencia modellel bonyolult. Ezért úgy döntünk, hogy figyeljük a modul belső jeleit. Check-ünk: Ha a read aktív és az IDP a megfelelő címre ír, akkor OK. Ha a write aktív és az IDP a beérkezett adatot a megfelelő címre írja, akkor OK IDP JA JC read write data Ref.m ?= A szitu a következő volt: A konsctrl-na, ami itt a JA, volt egy arbiter, ami biztosította hogy write után biztos sorra kerljön egy read is. Az egész FSM-je viszont el volt rontva, a write jelet soha nem engedte vissza a modul, egy a utolsó adatot a memóba folyamatosan írta. Problémát nem okozott, mert ahhoz a memória részhez dedikáltam csak ő férhetett hzzá, az arbiter meg engedte a read-et is szóhoz jutni. Sajna csak top levelen teszteltél, ott is rosszul, és így bent maradt…. Értékelés: Mi van, ha a write „beragad” A felhasznált belső jelek helyes működését mindig verifikálni kell!

49 A helyes megközelítés kiválasztása
Példa 3 (példa 2 jó megoldása) Random verifikáció Modul szint Gray box A jó megoldás: A felhasznált belső jelek működésének alapos ellenőrzése ?= IDP JA JC read write data Ref.m

50 A helyes megközelítés kiválasztása
Példa 4 Random verifikáció Szint: Rendszer / Chip (ezen a példán a BUS nélkül) Adat-út tesztek Beírunk a memóriába Visszaolvassuk az adatot Egyszerűbb moduloknál alkalmazható Komplexebbek esetén a modul szintű verifikáció elvárt lépés Előző példa problémája: hibás FSM, beragadt jel IDP BUS Mem. ?=

51 A helyes megközelítés kiválasztása
Példa 5 Random verifikáció Szint: Rendszer / Chip (ezen a példán a BUS nélkül) Adat-út tesztek Beírunk a memóriába Visszaolvassuk az adatot Modul szintű verifikációs komponensek használata BUS Mem. ?= IDP

52 Verifikációs koncepciók
Újra felhasználhatóság (reuse) A funkcionális verifikáció módszertanának egyik alapja az újra felhasználhatóság. Lényege, hogy egy modul verifikációs környezetét plusz munka nélkül tudjuk használni egy másik ASIC esetén is my_asic_1 my_asic_2 dma_env dma_env my_dma module my_dma module

53 Verifikációs és szimulátor tool-ok
Összefoglalva néhány szóban Verifikációs koncepiók Black box, white box, gray box – ezek előnyei, hátrányai Verifikációs szintek A környezet felépítésének áttekintése Reuse A következő rész témája Verifikációs és szimulátor tool-ok Néhány alapfogalom

54 Párhuzamos folyamatok delta cycle
Verifikáció & szimuláció Delta cycle Egy szimulációs ciklus két fázisból áll vhdl jelek értéknek frissítése vhdl folyamatok kiértékelése A szimulációs idő egy pontján több frissítés ill. kiértékelés történhet delta cycle a delta cycle-k száma nem befolyásolja a szimulációs időt a szimuláció futásának idejére hatással van (mennyi ideig fut a teszt) delta cycle-k sorrendje Időben párhuzamos folyamatok esetén nem ismert delta1 delta2 delta3 10 20 30 40 50 szimulációs idő [ns] Párhuzamos folyamatok delta cycle vhdl jel frissítése függvény kiértékelés

55 Verifikáció & szimuláció
Delta cycle - példa B jel változik [első delta cycle] library IEEE; use IEEE.Std_Logic_1164.all;    entity DELTA is    port (A, B :  in  std_ulogic;          Y, Z :  out std_ulogic); end DELTA;    architecture EXAMPLE of DELTA is    signal X : std_ulogic; begin    process (A, B, X)    begin       Y <= A;       X <= B;       Z <= X;    end process; end EXAMPLE; Jel A jel jövőbeni értéke (future value) Y A jel jelenlegi értéke (változatlan) X B jel jelenlegi értéke (új) Z X jel jelenlegi értéke (változatlan) Jelek értékeinek frissítése X jel változik [második delta cycle] Jel A jel jövőbeni értéke (future value) Y A jel jelenlegi értéke (változatlan) X B jel jelenlegi értéke (változatlan) Z X jel jelenlegi értéke (új – B új értéke) Jelek értékeinek frissítése

56 Verifikáció & szimuláció
Verifikációs tool és szimulátor A verifikációs környezet szolgáltatja a stimulust a HDL számára Előbbit a verifikációs tool (Specman) futattja Utóbbit a szimulátor (pl.: ModelSim, NCSim) futtatja A vezérlést egyszer a verifikációs szoftvernek, máskor a szimulátornak kell átadni Verifikációs tool (Specman) Környezet fordítása Constraint solver Random generátor Stimulus előállítás Időbeli kifejezések kiértékelése, Check-ek futása, Coverage gyüjtés Szimuláció vége callback Szimuláció futtatása Delta cycle-k feldolgozása Szimuláció futtatása Delta cycle-k feldolgozása Szimulátor (IES, Modelsim) RTL fordítása t=0ns t=N ns Szimulációs idő

57 Kiegészítő módszerek Verifikációs és szimlátor tool-ok Szimulációs idő
Összefoglalva néhány szóban Verifikációs és szimlátor tool-ok Szimulációs idő Delta cycle Verifikációs tool és szimulátor együttműködés A következő rész témája Kiegészítő módszerek Code coverage Connectivity check (formális verifikáció)

58 Kiegészítő módszerek Code coverage A code coverage szerepe
A HDL kód lefedettségét méri A verifikációs tesztek futása alatt Visszajelzést ad a Fejlesztő mérnöknek A verifikáció státuszáról Hogy melyek azok a jelek, amik sohan em változtak a verifikáció alatt (lehet implementációs hiba) A verifikációs mérnöknek Hogy melyik nagyobb egységeket nem érte még el a verifikáció

59 Kiegészítő módszerek Code coverage A code coverage fajtái
A code coverage nem a HDL működését ellenőrzi, nem a funkcionalitás lefedettségét méri, hanem arról ad információt, hogy a HDL kód melyik részeit mozgatta meg a teszt Ezek alapján a code coverage következő típusait különböztetjük meg Block Coverage - Megmondja hogy melyik egységeket sikerült stimulálni a szimuláció során. Az egység (block) egy if-else közötti kódrész. Branch coverage – Precízebb visszajelzést ad, mint a “block coverage”, mivel egy feltétel ágait külön értékeli. 100%-os a coverage ha egy feltétel összes ágán végigfutottunk a szimuláció során. Statement Coverage – Egy block-on belüli egyes feltételekről ad információt (a feltételek által meghatározozz összes esetből hány %-ot fedtünk le)

60 Kiegészítő módszerek Code coverage
Expression Coverage – Az kiértékelt logika műveletek (OR, AND, NOR, NAND) számát mutatja meg %-ban. Toggle Coverage – Megmondja, hogy az összes jel hány százaléka változott FSM Coverage – A véges állapotgép állapotainak, illetve az állapotokhoz vezető utak lefedettségéről ad visszajelzést A C D B

61 Kiegészítő módszerek Az összeköttetések ellenőrzése
Az összeköttetések ellenőrzése (connectivity check) Szimuláció alapú megközeltés Az összes adat-út tesztelése Az összes státusz és vezérló jel megmozgatása CPU DMA Status & Control GPIO pin BUS Minden jel megmozgatása nagyon időigényes

62 Kiegészítő módszerek Az összeköttetések ellenőrzése 2) Megközelítés:
Formális verifikáció A HDL modulok bekötését lehet vele ellenőrizni Szükség van a portok helyes összekötésének leírására Áltlában nincs összesítve, a specifikációból kell kibogózni Top level (chip level) esetén célszerű alkalmazni, amikor meglehetősen sok vezérlő ill. státusz jel (sideband signal) bekötésére kell figyelnie a top levelt implementáló mérnöknek Csak a jelek helyes bekötését ellenőrizzük, a működést nem, így a conectivity check rövid időn belül képes lefutni

63 Kitekintés Kiegészítő módszerek Code coverage definíciója és fajtái
Összefoglalva néhány szóban Kiegészítő módszerek Code coverage definíciója és fajtái Összekötések ellenőrzése Alapvető különbségek a formális és a funkciónális verifikációs eszközök között A következő rész témája Ezeke csak arra az esetre ha marad időm Kitekintés Lapfogalmak pár szóban Equivalence check e-Verifikáció

64 Kitekintés Equivalence checking Equivalence checking
Formális verifikáció csoportjába tartozik Nem szimuláció alapú Két modellt hasonlít össze, amelyeknek egyeznik kell Például: Gate level vs. HDL Szintetizált kód úgy működik-e, mint a HDL modell Clock-tree integrálása nem vitt-e hibákat a rendszerbe

65 Előretekintés Az e-verifikáció Néhány szó az e-verifikáció-ról
Alapja az e-nyelv (aspektus orientált) Strukúráját az eRM, oVM, uVM határozza meg Coding guideline Mappa szerkezet Elnevezési szabályok, stb… Az e-verifikációhoz tartozó tool a Specman Fejlesztője a Cadence A Specman nem tartalmazza a szimulátort, használható Modelsim (Mentor) IES (Incisive Enterprise Simulator - Cadence)

66 Köszönöm a figyelmet!


Letölteni ppt "ASIC verifikáció I. 2011.11.28."

Hasonló előadás


Google Hirdetések