Könyvtár, csomag és alprogramokVHDL Könyvtár, csomag és alprogram n Library és use n Package n Alprogramok –Procedure –Function –Resolution function Egy.

Slides:



Advertisements
Hasonló előadás
Bevezető Innovációs területek S+S Epilógus. pptPlex Section Divider Bevezető The slides after this divider will be grouped into a section and given the.
Advertisements

Merényi Ádám Microsoft Magyarország
English version A sablon nyelvének választása: /Format/Slide Design /majd jobb oldalt válaszd a másik be- ágyazott sablont! Projekt logó beállítása: /View/Master.
IP addressing Számítógép networkok gyakorlata ÓBUDAI EGYETEM 2011 TAVASZI FÉLÉV 3. LABORGYAKORLAT PRÉM DÁNIEL.
Tester Developer Architect Project Manager Business Analyst Designer Database Professional.
The Hungarian language
Nyereményjátékok és a Facebook - aki mer, az nyer!?”
Richter Elek Technikai terméktámogatási felelős Microsoft Magyarország.
21 Years of Partnership and Innovation 1989 Citrix Systems founded 2010 Citrix signed licensing agreement with Microsoft for NT Server Introduced Independent.
Pannon Egyetem – Fizika Intézet University of Pannonia – Institute of Physics Metamer minták „előállítása” és színinger-metrikai felhasználása ’Producing’
Nyugdíjreform folyt. köv.? Pension Reform To Be Continued? Bodor András “PENSION REFORM IN HUNGARY: DO WE NEED ONE (PILLAR) MORE?” symposium Washington.
The man the boy and the donkey A férfi a fiú és a szamár.
Dreams. How much does our dream cost? If we plan something or dream about somthing we hope them to come true. If we plan something or dream about somthing.
Les meilleures photos de L'année 2005 D'après NBC A life for two, full of tenderness, obtains happiness as they get closer to heaven. Az élet kettesben.
What is the Mission Situation in Hungary?. Dr. György KOVÁCS What Is The Mission Situation In Hungary? Presentation Design by Ed Nickle – United World.
System Statistical Functions. CPU Tesztelése SELECT AS busy Vissza adja milliszekundumban, mennyi időt töltött munkával a szerverünk indítás.
Árvai Zoltán Számalk Oktató központ.
RAM és ROM Mind RAM, mind ROM beépíthető ASIC vagy FPGA/EPLD tervbe Mind RAM, mind ROM beépíthető ASIC vagy FPGA/EPLD tervbe A következőkben a szükséges.
VHDL Fő témakörök: VHDL-en alapuló áramkörtervezés VHDL alapok
Bevezetés a tárgyakhoz Tárgyak  Objects are the containers for values of a specified type  Objects are either signals, variables or constants  Once.
Szerkezeti leírás Összetevők és beültetésük Összetevők és beültetésük Általános kiosztás (generic map) Általános kiosztás (generic map) Generate parancs.
FelültöltésVHDL Felültöltés (Overloading) n Áttekintés n Példák.
Kortárs diagnózisok helyzetfelmérés.
Basics A few things one must know. Slides Insert a title slide Put a title on it.
TRANZIENS ADATTÁROLÁS State objektum Egy alkalmazásszintű gyűjtemény (Dictionary), mely Tombstone esetén megőrzi tartalmát a memóriában kulcs/érték párokként.
Az erőátviteli rendszer
Infokommunikációs rendszerek 12
Infokom. rendsz. 11. előadás nov Kommunikációs rendszerek alapjai 11. előadás Rádiós adathálózatok Bluetooth, ZigBee, WiFi, WiMAX, Takács.
Infokommunikációs rendszerek 11
Course Situation and Event Driven Models for Multilevel Abstraction Based Virtual Engineering Spaces Óbuda University John von Neumann Faculty of Informatics.
Oracle multimédia Kiss Attila Információs Rendszerek Tanszék
Bevezetés a kísérleti részecskefizikába 2OO7.
Oracle – ORDMS lehetőségek UDT:- objektum típusok - kollekció típusok SQL> CREATE TYPE SZEMELY AS OBJECT ( 2 NEV VARCHAR2(20), 3 TEL VARCHAR2(14)); SQL>
VFP xBase adatkezelés - munkaterületek - DML - DDL - navigáció - eljárások, függvények - vezérlési szerkezetek - változók - képernyő IO - mintaprogram.
A megértés körei Binzberger Viktor Budapest Műszaki és Gazdaságtudományi Egyetem Filozófia és Tudománytörténet Tanszék.
PHP V Osztályok, Objektumok. Osztály class Person { var $name; // tulajdonság, változó function getName() { // metódus, tagfüggvény return $this->name;
Multimédiás programok készítése Macromedia Director fejlesztői környezetben 4. előadás Készítette: Kosztyán Zsolt
„MICROSOFT IT ÜZLETI INTELLIGENCIA MEGOLDÁS BEMUTATÓ” Avagy az IT adat vizualizációs lehetőségi egyéb szervezeti egységek felé („ablak” a nagyvilágra)
Windows Server 2008 { PowerShell }
Elektroanalitikához segédábrák Az ábrák több, részben szerzői jogokkal védett műből, oktatási célra lettek kivéve. Csak az intranetre tehetők, továbbmásolásuk,
WAP, WML Felhasznált források: Developer’s Guide v1.2 (Nokia WAP Toolkit) WML Reference v1.1.
Szervező program Pénzügy figyelő, számlázó program Legújabb alkalmazás.NET Framework 2.0 WSE.NET Framework 4.0 WCF Régebbi, jól bevált alkalmazás.
Egy GAZDAG HIBAJELENTÉS elég információt tartalmaz ahhoz, hogy AZONNALI LÉPÉSEKET lehessen tenni, a javítás érdekében.
Biometria I. SANB_BI1019 Pearson-féle Chi-négyzet (χ2) teszt Molnár Péter Állattani Tanszék
Null Hypothesis (H 0 ) is true He truly is not guilty Alternative Hypothesis (H 1 ) is true He truly is guilty Accept Null Hypothesis Acquittal Right decision.
Atomerőművi reaktor töltettervezése, fűtőelem átrakás, reaktorfizikai korlátok, indítási mérések Nemes Imre, Beliczai Botond PA Zrt.
EGEE-II INFSO-RI Enabling Grids for E-sciencE EGEE and gLite are registered trademarks P-GRADE Portal gyakorlat ismertető Gergely.
Hasznos ismeretek Hogyan bővítsük ismereteinket AVRDUDEflags -E noreset.
Teachers as key stakeholders of ICT in Hungarian schools Andrea Karpati, Eotvos University, Budapest
Tanulni, tanulni, tanulni Értékesítői képességek, a személyzet képzése.
rész. Termodinamikai alapok Entalpia: H = U + pV; reakcióhő nyitott edényben, vagyis ha p = const. Entalpiadiagramok:
Tervezési példák és ötletek Összeadók Összeadók Vektor szorzás Vektor szorzás Erőforrás megosztás Erőforrás megosztás Összehasonlítók (comparators) Összehasonlítók.
Termikus szimuláció kiegészítés. Heat equation Boundary conditions ­second kind (Neumann) ­third kind (Robin) ­first kind (Dirichlet)
Típusváltás (Type Conversion) n Áttekintés n Példák.
Budapesti Műszaki és Gazdaságtudomanyi Egyetem Elektronikus Eszközök Tanszéke 1 Tokozások termikus tesztje, minősítése.
GPGPU Labor 15.. Párhuzamos primitívek Map Reduce Scan Histogram Compact.
Készült az ERFP – DD2002 – HU – B – 01 szerzősésszámú projekt támogatásával Chapter 9 / 1 C h a p t e r 9 Semi-Rigid Connections in Steel Construction.
Készült az ERFP – DD2002 – HU – B – 01 szerzősésszámú projekt támogatásával Chapter 6 / 1 C h a p t e r 6 Elastic Critical Plate Buckling Loads.
Készült az ERFP – DD2002 – HU – B – 01 szerzősésszámú projekt támogatásával Chapter 1 / 1 C h a p t e r 1 Introduction.
A magyar nyelv nagyszótára ‘Comprehensive Dictionary of Hungarian’ (Dictionary of the Academy) A brief history Tamás Péter Szabó Department of Lexicography.
EUDOC Database System in the Hungarian National Assembly Eszter Kertészné-Gérecz ECPRD/2006. Vilnius.
TALÁLTAM EGY OLDALT AHOL EZEKET A “TOJÁSOKAT” LEHET LÁTNI. NAGY MÛVÉSZNEK KELLET LENNI, HOGY ILYEN SZÉPEN TUDTA FORMÁZNI A TOJÁSOK HÉJÁT, DE SZERINTEM.
Web Application 1 Web Application 3 Web Application 2 Web Application 4 Shared Service Provider 1 Shared Service Provider 2 Excel Services1 Search1.
General Motors Powertrain – Magyarország Kft. Dátum: Április 16
Design Thinking módszertan Juhász Dániel UI Designer / DT Coach Buday Balázs Product Owner / DT Coach.
1 KÖZÖSSÉG AZ ÚJ TESTAMENTUMBAN Romans 12:10Romans 12:10 Romans 12:16Romans 12:16 Romans 15:14Romans 15:14 1 Corinthians 11:331 Corinthians 11:33 2 Corinthians.
Beginner Dialogues - In a motel / hotel Getting a room for the night - Good evening. Can I help you? - Yes, please. I'd like a room for the night. - Would.
TECHNICAL TRAINING December 2012.
Developing, understanding and using nutrient boundaries
Antibiotic Drug Prescription
Előadás másolata:

Könyvtár, csomag és alprogramokVHDL Könyvtár, csomag és alprogram n Library és use n Package n Alprogramok –Procedure –Function –Resolution function Egy mintacsomag és alkalmazása Egy mintacsomag és alkalmazása

Könyvtár, csomag és alprogramokVHDL Use utasítás n use_clause ::= use selected name {, selected_name } ; n Examples: –USE work.my_package. ALL ; »All elements of the package my_package from the library work are integrated. –USE work.my_package.my_function ; »The function my_function from the package my_package in the library work is integrated.

Könyvtár, csomag és alprogramokVHDL Csomagok (packages) Its purpose to create shared modules. n Type and Subtype Declarations n Subprograms (functions and procedures) n Constants n Signals

Könyvtár, csomag és alprogramokVHDL

Könyvtár, csomag és alprogramokVHDL Placing a library clause at the end of the design file entity to identify a logical name for each referenced library designating a logical-to-physical map to identify where in the directory structure the physical library resides. (Each VHDL implementation has a specific method of making this map) Putting the use clause where the specific package contents are required. Syntax: LIBRARY logical_name_list ; USE prefix.suffix,prefix.suffix… ; Egy csomag láthatóvá tétele

Könyvtár, csomag és alprogramokVHDL Kérdések a csomagokról n Az std könyvtárban és a standard csomagban n Melyik könyvtárban és csomagban definiálják a bit típust? n Melyik könyvtár(ak) és csomag(ok) látható(k) állandóan? A work és az std könyvtárak és az std.standard.ALL; csomag n A következőket lehet csomagokban tárolni: Függvények, eljárások (procedure, típus deklarációk és állandók n Egy csomag felhasználásához a következőket kell megadni: Library ; Use..ALL;

Könyvtár, csomag és alprogramokVHDL Alprogram típusok n FUNCTIONS –Produce no side-effects –Only accept input (in) parameters –Return just one value –Always use the reserved word return n PROCEDURES –Can produce side-effects –Accept input (in), output (out) and input/output (inout) parameters –Do not have to return any value or can return multiple values –Do not require return

Könyvtár, csomag és alprogramokVHDL Egyidejű procedure hívás utasítás n concurrent_procedure_call ::= [ label : ] [ postponed ] procedure_call ; n Examples: –a_proc ; –Procedure call without transfer parameters –lab : my_proc( sig_1, sig_2, sig_3 ) ; –Named procedure call with transfer parameters which are linked by position.

Könyvtár, csomag és alprogramokVHDL Sorrendi procedure hívás utasítás n procedure_call_statement ::= n [ label : ] procedure_call ; n Examples: –a_proc ; –The procedure a_proc is called; it does not have any transfer parameters. –my_proc( sig_1, sig_2, var_3 ) ; –The procedure my_proc is called with the transfer parameters sig_1, sig_2, var_3.

Könyvtár, csomag és alprogramokVHDL Return utasítás n return_statement ::= [ label : ] return [ expression ] ; n Examples: –RETURN ; »No value is returned. –RETURN value ; »The return value is that of value. –RETURN my_function( data, 5 pF ) ; »The return value is the result of the function my_function –RETURN a + b + 5 ns ; »The return value is the sum a + b + 5 ns. –RETURN "author name : " & name ; »The return value is a chained string.

Könyvtár, csomag és alprogramokVHDL Függvény meghatározása n Egy példa: function f(signal a,b: std_logic) return std_logic is begin if a’event then if a’event then return b; return b; else else return a; return a; end if; end if; end; n A függvény meghatározásban (ahogy a példa is mutatja) lehet több return utasítás is, a feltétel az, hogy csak egy hajtódik végre n A példában azért kellett a “signal” jelölés, mert ki kellett zárni, hogy változók (variable) legyenek a paraméterek, amelyek nem megengedettek pl. egyidejű függvény hívásban n Hol lehet meghatározni egy függvényt? Csomagban, építményben és folyamatban, ezek közül a csomagban meghatározottat máshol újra fel lehet használni

Könyvtár, csomag és alprogramokVHDL Függvény meghatározása csomagban package mypack is function max (a,b: in std_logic_vector) return std_logic_vector; function max (a,b: in std_logic_vector) return std_logic_vector;end; package body mypack is function max (a,b: in std_logic_vector) return std_logic_vector is function max (a,b: in std_logic_vector) return std_logic_vector is begin begin if a>b then if a>b then return a; return a; else else return b; return b; end if; end if; end; end;end;

Könyvtár, csomag és alprogramokVHDL A csomagbeli függvény alkalmazása Use work.mypack.ALL; Entity ex is port(... port(...end; Architecture rtl of ex is begin q<=max(d1,d2);-- Egyidejű függvényhívás q<=max(d1,d2);-- Egyidejű függvényhívás process (data,g) process (data,g) begin begin data_out<=max(data,g);-- Sorrendi függvényhívás data_out<=max(data,g);-- Sorrendi függvényhívás end process; end process;end;

Könyvtár, csomag és alprogramokVHDL Függvény megadása építményben Architecture rtl of ex2 is function max (a,b: in std_logic_vector) return std_logic_vector is function max (a,b: in std_logic_vector) return std_logic_vector is begin begin if a>b then if a>b then return a; return a; else else return b; return b; end max;......begin q<=max(d1,d2);-- Egyidejű függvényhívás q<=max(d1,d2);-- Egyidejű függvényhívás process(data,g) process(data,g) begin begin data_out<=max(data,g)-- Sorrendi függvényhívás data_out<=max(data,g)-- Sorrendi függvényhívás end process; end process;......end;

Könyvtár, csomag és alprogramokVHDL Függvény megadása folyamatban Architecture rtl of ex3 is begin-- Építmény test kezdete process(data,g) process(data,g) function max (a,b: in std_logic_vector) return std_logic_vector is function max (a,b: in std_logic_vector) return std_logic_vector is begin begin if a>b then if a>b then return a; return a; else else return b; return b; end max; begin-- A folyamat kezdete data_out<=max(data,g); data_out<=max(data,g); end process;......end;

Könyvtár, csomag és alprogramokVHDL A függvénymegadás paraméterezése n A függvényeket és az eljárásokat rendszerint úgy határozzák meg, hogy a be/kimenetei paraméterek vektorhosszait nem adják meg n Így tetszőleges vektor hosszúságú adatokkal felhasználhatók Library ieee; use ieee.std_logic_1164.ALL; use work.mypack.ALL; Entity ex is port (a,b: in std_logic_vector(3 downto0); c,d: in std_logic_vector(5 downto 0); port (a,b: in std_logic_vector(3 downto0); c,d: in std_logic_vector(5 downto 0); q1: out std_logic_vector(3 downto0); q2: out std_logic_vector(5 downto 0)); q1: out std_logic_vector(3 downto0); q2: out std_logic_vector(5 downto 0));end; Architecture rtl of ex is begin q1<=max(a,b);-- A vektor hosszúság 4 bit q1<=max(a,b);-- A vektor hosszúság 4 bit q2<=max(c,d);-- A vektor hosszúság 6 bit q2<=max(c,d);-- A vektor hosszúság 6 bitend;

Könyvtár, csomag és alprogramokVHDL  It is possible that a model contains more than one signal assignment statement that attempts to assign different values to the same signal at the same time.  When this happens, the model must provide a resolution function that specifies how to resolve the assignment.  Each signal that requires a resolution function, makes reference to the appropriate function in the signal declaration. Example: SIGNAL total : wired_or integer; This signal declaration refers to a resolution function named “wired_or” Egy jelérték feloldása, amikor több hozzárendelés utasítás hajtja meg

Könyvtár, csomag és alprogramokVHDL Egy mintacsomag és alkalmazása n Average és Sum függvényeket tartalmazó csomag n A csomagbeli függvények használata

Könyvtár, csomag és alprogramokVHDL 1. példa n Egy csomag megtervezése, amely két függvényt tartalmaz: average és sum n Az average függvény visszatér két szám átlagával (lefelé kerekítve) n A sum függvény a két szám összegével tér vissza n A két függvényt meg kell határozni mind integer, mind std_logic_vector adattípusra

Könyvtár, csomag és alprogramokVHDL 1. példa megoldása Library ieee; Use ieee.std_logic_1164.ALL; Use ieee.std_logic_unsigned.ALL; Package mypack is function average1(a,b: in integer) return integer; function average2(a,b: in std_logic_vector) return std_logic_vector; function sum1(a,b: in integer) return integer; function sum2(a,b: in std_logic_vector) return std_logic_vector; end; Package body of mypack is function average1(a,b: in integer) return integer is begin return (a+b)/2; return (a+b)/2;end;

Könyvtár, csomag és alprogramokVHDL 1. példa megoldása (folyt.) function average2(a,b: in std_logic_vector) return std_logic_vector is variable int: std_logic_vector(a’range); begin int:=a+b; int:=a+b; return shr(int,”1”); return shr(int,”1”);end; function sum1(a,b: in integer) return integer is begin return (a+b); return (a+b);end; function sum2(a,b: in std_logic_vector) return std_logic_vector is begin return (a+b); return (a+b);end;end;

Könyvtár, csomag és alprogramokVHDL 2. példa n Tervezni kell egy c1 összetevőt, amely az előző feladatbeli függvényeket (average és sum) használja n Az összetevőnek legyen 4 bemenete: a, b, c, d n Az a és b bemenetek integer(0 to 127) típusúak, a c és d bemeneti jelek típusa pedig std_logic_vector(7 downto 0) n Az összetevő kimenetei: average1, average2, sum1, sum2, az egyes függvényeknek megfelelően n Az average1 és a sum1 integer(0 to 127), az average2 és sum2 pedig std_logic_vector(7 downto 0) típusúak

Könyvtár, csomag és alprogramokVHDL 2. példa megoldása Library ieee; Use ieee.std_logic_1164.ALL; Use work.mypack.ALL; Entity c1 is port (a,b: in integer range 0 to 127; c,d: in std_logic_vector(7 downto 0); port (a,b: in integer range 0 to 127; c,d: in std_logic_vector(7 downto 0); q1,q2: out integer range 0 to 127; q3,q4: out std_logic_vector(7 downto 0)); q1,q2: out integer range 0 to 127; q3,q4: out std_logic_vector(7 downto 0)); end; end; Architecture rtl of c1 is begin q1 <= average1(a,b); q1 <= average1(a,b); q2 <= sum1(a,b); q2 <= sum1(a,b); q3 <= average2(c,d); q3 <= average2(c,d); q4 <= sum2(c,d); q4 <= sum2(c,d);end;