FelültöltésVHDL Felültöltés (Overloading) n Áttekintés n Példák.

Slides:



Advertisements
Hasonló előadás
Bevezető Innovációs területek S+S Epilógus. pptPlex Section Divider Bevezető The slides after this divider will be grouped into a section and given the.
Advertisements

A kétdimenziós tömbök Páll Boglárka. Ismétlés: Az egydimenziós tömbök  Meghatározás: A tömb egy olyan összetett adatszerkezet amely több rögzített számú,
Lekérdezések SQL-ben Relációs algebra A SELECT utasítás
A majorsági projektet támogatja a Magyarok Nagyasszonya Ferences Rendtartomány és az FSZK. A MAJORSÁG működésével támogatja a felnőtt autisták munkahelyének.
English version A sablon nyelvének választása: /Format/Slide Design /majd jobb oldalt válaszd a másik be- ágyazott sablont! Projekt logó beállítása: /View/Master.
IP addressing Számítógép networkok gyakorlata ÓBUDAI EGYETEM 2011 TAVASZI FÉLÉV 3. LABORGYAKORLAT PRÉM DÁNIEL.
Nyereményjátékok és a Facebook - aki mer, az nyer!?”
Pannon Egyetem – Fizika Intézet University of Pannonia – Institute of Physics Metamer minták „előállítása” és színinger-metrikai felhasználása ’Producing’
Nyugdíjreform folyt. köv.? Pension Reform To Be Continued? Bodor András “PENSION REFORM IN HUNGARY: DO WE NEED ONE (PILLAR) MORE?” symposium Washington.
The man the boy and the donkey A férfi a fiú és a szamár.
Árvai Zoltán Számalk Oktató központ.
RAM és ROM Mind RAM, mind ROM beépíthető ASIC vagy FPGA/EPLD tervbe Mind RAM, mind ROM beépíthető ASIC vagy FPGA/EPLD tervbe A következőkben a szükséges.
VHDL Fő témakörök: VHDL-en alapuló áramkörtervezés VHDL alapok
Bevezetés a tárgyakhoz Tárgyak  Objects are the containers for values of a specified type  Objects are either signals, variables or constants  Once.
Szerkezeti leírás Összetevők és beültetésük Összetevők és beültetésük Általános kiosztás (generic map) Általános kiosztás (generic map) Generate parancs.
Jelek frekvenciatartományban
Fehérjék 4 Simon István. Predicting protein disorder - IUPred Basic idea: If a residue is surrounded by other residues such that they cannot form enough.
Socket programozás Példák
Elválasztástechnikai Kutató és Oktató Laboratórium Injektálási technikák.
Táblázatkezelés a MS Excel segítségével
CELLACÍMZÉSI MÓDOK A TÁBLÁZATKEZELŐ PROGRAMBAN
Szintézis Keresztes Péter, 2005 A GAJSKI-KUHN DIAGRAM Alapelv: Rendezzük a digitális- rendszerek leírásait célok és szintek szerint.
Az erőátviteli rendszer
55 kodosszeg FIZETÉS felvitel JUTALOM felvitel 11-es dolgozó kap 200-at 11-es dolgozó kap 50-et SELECT osszeg INTO x FROM d.
Infokommunikációs rendszerek 12
Infokom. rendsz. 11. előadás nov Kommunikációs rendszerek alapjai 11. előadás Rádiós adathálózatok Bluetooth, ZigBee, WiFi, WiMAX, Takács.
Infokommunikációs rendszerek 11
Course Situation and Event Driven Models for Multilevel Abstraction Based Virtual Engineering Spaces Óbuda University John von Neumann Faculty of Informatics.
Fuzzy logika Fuzzy következtetési rendszerek 7/20/20141.
Oracle multimédia Kiss Attila Információs Rendszerek Tanszék
Természetesen P = Q = O esetén O + O = O. Tetszőleges, nem 2, vagy 3 karakterisztikájú test esetén hasonló módon eljárva E(K)-n zárt műveletet.
Query-Aware Compression of Join Results Christopher M. Mullins, Lipyeow Lim, Christian A. Lang feldolgozta: Ancsin Attila, Dananaj Pál, Horváth Viktor.
Oracle – ORDMS lehetőségek UDT:- objektum típusok - kollekció típusok SQL> CREATE TYPE SZEMELY AS OBJECT ( 2 NEV VARCHAR2(20), 3 TEL VARCHAR2(14)); SQL>
VFP xBase adatkezelés - munkaterületek - DML - DDL - navigáció - eljárások, függvények - vezérlési szerkezetek - változók - képernyő IO - mintaprogram.
Kuti Zsuzsa szakmai vezető.
A megértés körei Binzberger Viktor Budapest Műszaki és Gazdaságtudományi Egyetem Filozófia és Tudománytörténet Tanszék.
„MICROSOFT IT ÜZLETI INTELLIGENCIA MEGOLDÁS BEMUTATÓ” Avagy az IT adat vizualizációs lehetőségi egyéb szervezeti egységek felé („ablak” a nagyvilágra)
A következtetés „axiómái” Következtetés távolságalapú operátorokkal.
WAP, WML Felhasznált források: Developer’s Guide v1.2 (Nokia WAP Toolkit) WML Reference v1.1.
Egy GAZDAG HIBAJELENTÉS elég információt tartalmaz ahhoz, hogy AZONNALI LÉPÉSEKET lehessen tenni, a javítás érdekében.
Biometria I. SANB_BI1019 Pearson-féle Chi-négyzet (χ2) teszt Molnár Péter Állattani Tanszék
Null Hypothesis (H 0 ) is true He truly is not guilty Alternative Hypothesis (H 1 ) is true He truly is guilty Accept Null Hypothesis Acquittal Right decision.
Alprogramok deklarációja, definíciója és meghívása Páll Boglárka.
Hasznos ismeretek Hogyan bővítsük ismereteinket AVRDUDEflags -E noreset.
Elemi döntési módszerek példa: 4 alternatíva, 6 szempont
Tömbök és programozási tételek
Kétdimenziós tömbök Mátrixok
Tanulni, tanulni, tanulni Értékesítői képességek, a személyzet képzése.
Tervezési példák és ötletek Összeadók Összeadók Vektor szorzás Vektor szorzás Erőforrás megosztás Erőforrás megosztás Összehasonlítók (comparators) Összehasonlítók.
Könyvtár, csomag és alprogramokVHDL Könyvtár, csomag és alprogram n Library és use n Package n Alprogramok –Procedure –Function –Resolution function Egy.
Termikus szimuláció kiegészítés. Heat equation Boundary conditions ­second kind (Neumann) ­third kind (Robin) ­first kind (Dirichlet)
Az MIT-ről származó MEMCAD 2.0 rendszer blokkvázlata.
Típusváltás (Type Conversion) n Áttekintés n Példák.
Budapesti Műszaki és Gazdaságtudomanyi Egyetem Elektronikus Eszközök Tanszéke 1 Tokozások termikus tesztje, minősítése.
Digitális rendszerek II.
Motor IIII. Vezérlés Szécsi László. Letöltés diák: //l09-engine4.ppt.
GPGPU labor XII. Tomográfiás rekonstrukció. Kezdeti teendők Tantárgy honlapja, Monte Carlo szimuláció A labor kiindulási alapjának letöltése (lab12_base.zip),
GPGPU Labor 15.. Párhuzamos primitívek Map Reduce Scan Histogram Compact.
Készült az ERFP – DD2002 – HU – B – 01 szerzősésszámú projekt támogatásával Chapter 6 / 1 C h a p t e r 6 Elastic Critical Plate Buckling Loads.
- Group Policy - Group Policy Preferences - Group Policy 4x5 - Optimális munkakörnyezet – az első lépcsőfok.
TALÁLTAM EGY OLDALT AHOL EZEKET A “TOJÁSOKAT” LEHET LÁTNI. NAGY MÛVÉSZNEK KELLET LENNI, HOGY ILYEN SZÉPEN TUDTA FORMÁZNI A TOJÁSOK HÉJÁT, DE SZERINTEM.
1 KÖZÖSSÉG AZ ÚJ TESTAMENTUMBAN Romans 12:10Romans 12:10 Romans 12:16Romans 12:16 Romans 15:14Romans 15:14 1 Corinthians 11:331 Corinthians 11:33 2 Corinthians.
1 Szervetlen és Analitikai Kémia Tanszék, Kémiai Informatika Csoport Számítástechnika Kari rendszergazda: Rippel Endre (Ch C2)
Excel programozás (makró)
A BCD használata üzleti partnerek felkutatásához
Maven és Ant Build eszközök bemutatása
Excel programozás (makró)
Cím elrendezés Alcím.
TECHNICAL TRAINING December 2012.
August 22, 2017 Seating Challenge Color Personality
Előadás másolata:

FelültöltésVHDL Felültöltés (Overloading) n Áttekintés n Példák

FelültöltésVHDL n A VHDL erősen tipizált nyelv: ha egy függvény pl. bit_vector típust vár, nem lehet bemenő paraméternek pl std_logic_vector-t adni. n Overloading is possible in VHDL, for either enumeration literals or subprograms (procedures and functions). n A felültöltés előnye, hogy segítségével a VHDL kódok könnyebben olvashatók és tömörebbek n Example of overloading enumeration literals: TYPE wire_color IS (green, black, red) -- custom enumeration type TYPE traffic_light IS( green, yellow, red, flashing) -- overloaded Áttekintés

FelültöltésVHDL n A subprogram can be overloaded on the basis of the calling code. n In order to do this, multiple instances of the same subprogram must be declared. n The compiler examines the arguments sent to the subprogram and then decides which instance of it to use. n If a function call cannot be resolved, an error is generated Alprogramok felültöltése

FelültöltésVHDL Példa: MAX függvény n A MAX függvényt többször egymás után meghatározza az EX_PACK nevű csomag, különböző adat típusú argumentummal n Az alábbi függvény meghatározások lehetővé teszik, hogy a MAX függvény különböző vektorhosszúságú bemenő adathoz is használható legyen

FelültöltésVHDL Az EX_PACK csomag (példa) Library ieee; Use ieee.std_logic_1164.ALL; Package ex_pack is function max(a,b: in std_logic_vector) return std_logic_vector; function max(a,b: in std_logic_vector) return std_logic_vector; function max(a,b: in vlbit_vector) return vlbit_vector; function max(a,b: in vlbit_vector) return vlbit_vector; function max(a,b: in integer) return integer; function max(a,b: in integer) return integer;end; Package body ex_pack is function max(a,b: in std_logic_vector) return std_logic_vector is function max(a,b: in std_logic_vector) return std_logic_vector is begin begin if a>b then return a; if a>b then return a; else return b; else return b; end if; end if;end; function max(a,b: in vlbit_vector) return vlbit_vector is begin begin if a>b then return a; if a>b then return a; else return b; else return b; end if; end if; end; end; function max(a,b: in integer) return integer is function max(a,b: in integer) return integer is begin begin if a>b then return a; if a>b then return a; else return b; else return b; end if; end if; end; end;end;

FelültöltésVHDL Példa a MAX függvény alkalmazására Library ieee; Use ieee.std_logic_1164.ALL; Use work.ex_pack.ALL; Entity ex is port ( a1,b1: in std_logic_vector(3 downto 0); a2,b2: in vlbit_vector(4 downto 0); port ( a1,b1: in std_logic_vector(3 downto 0); a2,b2: in vlbit_vector(4 downto 0); a3,b3: in integer; a3,b3: in integer; c1: out std_logic_vector(3 downto 0); c2: out vlbit_vector(4 downto 0); c1: out std_logic_vector(3 downto 0); c2: out vlbit_vector(4 downto 0); c3: out integer); c3: out integer);end; Architecture ex_beh of ex is begin c1<=max(a1,b1); -- max(a,b:std_logic_vector) függvény használata c1<=max(a1,b1); -- max(a,b:std_logic_vector) függvény használata c2<=max(a2,b2); -- max(a,b:vlbit_vector) függvény használata c2<=max(a2,b2); -- max(a,b:vlbit_vector) függvény használata c3<=max(a3,b3); -- max(a,b:integer) függvény használata c3<=max(a3,b3); -- max(a,b:integer) függvény használataend;

FelültöltésVHDL IEEE könyvtárban található felültöltött függvények n “+”, “-”, “*”, “=“, “ “, “/=“, “ =“ n bemenő ill. kimenő adat típusaik az STD_LOGIC_UNSIGNED csomagban: –std_logic –std_logic_vector –integer n Példák: function “=“ (L:std_logic_vector; R:integer) return boolean; function “>“ (L:std_logic_vector; R:integer) return boolean;

FelültöltésVHDL

FelültöltésVHDL Példa a felültöltött “=“ használatára Architecture rtl of ex is signal a,b,c: std_logic_vector(15 downto 0); begin process(a,b,c,d1,d2,d3) process(a,b,c,d1,d2,d3) begin begin if a=12 or c=11 then q<=d1; -- bal oldalon egy std_logic_vector, jobb oldalon if a=12 or c=11 then q<=d1; -- bal oldalon egy std_logic_vector, jobb oldalon -- egy egész van -- egy egész van elseif b>5 then q 5 then q<=d2; else q<=d3; else q<=d3; end if; end if; end process; end process;end;

FelültöltésVHDL Az std_logic_vector kétféle értelmezése n Az IEEE könyvtár két csomagot tartalmaz, amelyben az std_logic_vetor felültöltött adattípusa: az std_logic_unsigned és az std_logic_signed n Attól függően, hogy a kettő közül melyik csomagot jelentik be a VHDL kódban, az std_logic_vector típusú adatokat előjel nélküliként vagy előjelesként értelmezik n Példák: Library ieee; Use ieee.std_logic_1164.ALL; Use ieee.std_logic_unsigned.ALL;... Architecture rtl of ex is begin q<=a+b; -- előjel nélküli összeadás q<=a+b; -- előjel nélküli összeadás end; Library ieee; Use ieee.std_logic_1164.ALL; Use ieee.std_logic_signed.ALL;... Architecture rtl of ex is begin q<=a+b; -- előjeles összeadás q<=a+b; -- előjeles összeadás end;

FelültöltésVHDL Előjeles és előjel nélküli vektorok ugyanazon építményben n Példa: Library ieee; Use ieee.std_logic_1164.ALL; Use ieee.std_logic_arith.ALL;... Architecture rtl of ex is begin q1<=unsigned(a) + unsigned (b); -- előjel nélküli összeadás q1<=unsigned(a) + unsigned (b); -- előjel nélküli összeadás q2<=signed(a) + signed (b); -- előjeles összeadás q2<=signed(a) + signed (b); -- előjeles összeadás end;

FelültöltésVHDL Átvitel bit kezelése n Ha pl. az ieee.std_logic_unsigned csomagot használjuk és vektor összeadására és az átvitel bit kell az eredménybe, akkor az egyik összeadandót meg kell növelni egy bittel (pl. ‘0’-val) n A “+” függvény eredménye olyan vektor, amelynek hossza megegyezik a leghosszabb bemeneti argumentum hosszával n Példa: Library ieee; Use ieee.std_logic_unsigned.ALL;... signal a,b,q2: std_logic_vector(7 downto 0);... q1<=(‘0’ & a) + b; -- átvitel bittel (9 bit) q1<=(‘0’ & a) + b; -- átvitel bittel (9 bit) q2<=a + b; -- nincs átvitel bit (8 bit) q2<=a + b; -- nincs átvitel bit (8 bit)